1
0
mirror of git://sourceware.org/git/lvm2.git synced 2024-10-27 18:55:19 +03:00

tests: explicitely ignore result code

This commit is contained in:
Zdenek Kabelac 2015-04-21 15:46:03 +02:00
parent 30e8b284a7
commit afdff40542

View File

@ -33,9 +33,9 @@ static int _finished(const char *cmd, int status, int pid) {
if (WIFEXITED(ret) && WEXITSTATUS(ret) == 0) {
printf("## timing off\n<======== Debug log ========>\n"); /* timing off */
fflush(stdout);
system("sed -e 's,^,## DEBUG: ,' debug.log*${LVM_LOG_FILE_EPOCH}* 2>/dev/null");
(void) system("sed -e 's,^,## DEBUG: ,' debug.log*${LVM_LOG_FILE_EPOCH}* 2>/dev/null");
printf("## timing on\n"); /* timing on */
system("rm -f debug.log*${LVM_LOG_FILE_EPOCH}*");
(void) system("rm -f debug.log*${LVM_LOG_FILE_EPOCH}*");
fflush(stdout);
}
}